From Casetext: Smarter Legal Research

Synopsys, Inc. v. Avatar Integrated Sys.

UNITED STATES DISTRICT COURT NORTHERN DISTRICT OF CALIFORNIA
Nov 12, 2020
Case No. 20-cv-04151-WHO (N.D. Cal. Nov. 12, 2020)

Opinion

Case No. 20-cv-04151-WHO

11-12-2020

SYNOPSYS, INC., Plaintiff, v. AVATAR INTEGRATED SYSTEMS, INC., Defendant.


ORDER GRANTING DEFENDANT'S MOTION TO DISMISS AS TO CLAIMS I AND II AND DENYING MOTION AS TO CLAIM VI

Re: Dkt. No. 26

INTRODUCTION

Defendant Avatar Integrated Systems, Inc., ("Avatar") moves to dismiss three of six patent infringement claims brought by plaintiff Synopsys, Inc. ("Synopsys"), arguing that three of the asserted patents are directed to abstract mental processes or mathematics and therefore fail to claim patent-eligible subject matter under 35 U.S.C. § 101. As discussed below, I conclude that the '863 and '640 patents are directed to patent-ineligible abstract ideas, do not contain any inventive concepts, and are therefore invalid under § 101. In contrast, construing all facts in favor of Synopsys at the motion to dismiss stage, I conclude that the '655 patent is directed to a specific method for checking engineering change orders across multiple scenarios during the process of fixing design requirement violations, which improves the efficient use of computers running chip design software, and is therefore not invalid under § 101. In line with these conclusions, Avatar's motion to dismiss is GRANTED as to Claims I and II and DENIED as to Claim VI.

BACKGROUND

Avatar seeks to dismiss claims related to U.S. Patent Nos. 7,103,863 ("the '863 patent"); 8,407,655 ("the '655 patent"); and 8,407,640 ("the '640 patent"), arguing that all three patents are invalid under the Supreme Court's Alice decision and its progeny. All three patents claim inventions in the field of computer chip design and manufacturing.

I. THE '863 PATENT

The '863 patent, titled "Representing The Design of a Sub-Module in a Hierarchical Integrated Circuit Design and Analysis System," relates to "systems for designing and verifying the contents and layout of an integrated circuit" in the field of electronic circuit fabrication. Dkt. No. 1-1, Ex. 2 ("'863 patent") at 1:19-22. The patent asserts that in the field of circuit design, the design specification and implementation data that must be run through the relevant design software is often so large that it either does not fit in the processing computer's memory or is prohibitively time-consuming to process. Id. 1:24-37. To solve this problem, it is industry convention to use "hierarchical decomposition" or "partitioning" whereby the design data is split into more manageable pieces called "blocks," arranged in a hierarchy, which are then designed and verified independently. Id. 1:38-45. However, because the individual blocks are part of a larger circuit, and affect the behavior of the circuit as a whole, the software system must retain sufficient information so that each block can be properly analyzed "in the context of its parent and sibling blocks." Id. 2:58-67. To reduce the amount of memory and execution time required for this process, chip designers create a "block abstraction" that represents "the structure and behavior of the block in sufficient detail that the interface with its parent block and its sibling blocks may be correctly analyzed" without needing to retain all of the block data. Id.

The '863 patent's stated contribution is a new method for block abstraction. According to the '863 patent, existing methods for block abstraction used "reduced behavioral models to capture approximate behavioral descriptions of the logical, physical, and electrical behavior of the block." Id. 5:5-10. In contrast, the '863 patent's "key idea is to represent the design, not with a simplified mathematical model of reduced accuracy, but as a sub-set of the design data itself. The reduced model consists of a copy of the original model, but with all non-essential information discarded." Id. 7:10-23. The patent asserts that "[b]y including the physical objects themselves instead of simplified or worst-case models for them, no accuracy is lost." Id. 7:39-41.

The '863 patent includes two similar independent claims—claim 1, reciting a method, and claim 35, an article of manufacture—both related to the block abstraction process described above. In addition, the patent includes 65 dependent claims. Claim 1 appears representative and is the focus of the parties' briefing. It states:

1. A method used in producing a design of an integrated circuit said circuit design having cells and interconnects, said circuit having a representation that is hierarchically decomposed into a top-level and a plurality of blocks, at least some of the plurality of said blocks being capable of being further hierarchically decomposed and of having a parent block associated therewith, said method comprising:

processing a least one of said blocks such that an abstraction is created that includes physical interconnect information relating to interconnects between components within said at least one block, said physical interconnect information modeling parasitic electrical and physical effects of interconnects upon an estimated behavior of said integrated circuit, wherein said processing includes:

retaining only a sub-set of all of said physical interconnect information which influences physical and electrical behavior of said parent block; and

Retaining only a sub-set of cells which influences a logical behavior of said parent block; and

Utilizing said abstraction in another development phase performed on said parent block.
Id. 16:65 - 17:19.

II. THE '640 PATENT

The '640 patent, titled "Sensitivity-Based Complex Statistical Modeling for Random On-Chip Variation" relates to performing statistical static timing analysis on information describing a circuit in the field of "integrated circuit timing analysis." Dkt. No. 1-1, Ex. 1 ("'640 patent"). Static timing analysis is a method by which chip designers verify the correctness of a chip design without simulation. Dkt. No. 9, Corrected Complaint ("CC") ¶ 15. In static timing analysis, software calculates the expected timing of signals in a circuit to identify timing requirement violations before manufacturing. Id. The '640 patent describes various drawbacks to the existing methods for performing statistical timing analysis and states that "[t]he need remains for a method of on-chip variation modeling in statistical timing analysis that is sufficiently low cost so as to encourage widespread and rapid adoption." '640 patent 1:32 - 2:5.

The '640 patent asserts that it provides an "improved methodology for performing timing analysis on integrated circuits" that uses a "novel on-chip variation model." Id. 2:8-10. The patent contains one independent claim, claim 1, and seven dependent claims, all methods. Claim 1 states:

1. A computer-implemented method of statistical static timing analysis (SSTA) comprising:

receiving, by a computer, information describing a circuit, the information comprising:

a first input node, a second input node, and an output node, such that there is a first path from the first input node to the output node, and

a second path from the second input node to the output node,

the first path and the second path converging at the output node,

each path associated with a parametric delay represented as a nominal delay value and a standard deviation value, the standard deviation value representing a timing impact of local random variation;

performing statistical static timing analysis (SSTA) based on on-chip variation (OCV) model, the SSTA comprising, determining a parametric delay at the output node based on a statistical maximum of parametric delay through the first path and parametric delay through the second path, wherein the statistical maximum preserves N sigma corner delay values, and determining the statistical maximum comprises:

determining a nominal delay value of the parametric delay at the output node based on a maximum of:

nominal delay value of the parametric delay through the first path, and

nominal delay of the parametric delay through the second path; and

determining a standard deviation value of the parametric delay at the output node, comprising:

determining a first value as a maximum of:

a weighted sum of nominal delay value and standard deviation value of the parametric delay through the first path, and

a weighted sum of nominal delay value and standard deviation value of the parametric delay through the second path;

determining a second value as a maximum of:
the nominal delay value of the parametric delay through the first path, and

the nominal delay value of the parametric delay through the second path; and

determining the difference between the first value and the second value; and

standard deviation value of the parametric delay through the first path, and

standard deviation value of the parametric delay through the second path; and

storing the nominal delay and the standard deviation value of the parametric delay for the output node.
Id. 11:1-2:22.

III. THE '655 PATENT

The '655 patent, titled "Fixing Design Requirement Violations in Multiple Multi-Corner Multi-Mode Scenarios" relates to "systems and techniques for fixing design requirement violations" in the field of computer circuit design. Dkt. No. 1-1. Ex. 6 ("'655 patent") (Dkt. No. 1-1). According to the '655 patent, before computer chips are manufactured, they are checked for design violations to ensure they meet all design requirements across all combinations of process corners, operating conditions, and/or operating modes. Id. 1:19-24; 1:44-47. The term "Multi-Corner/Multi-Mode (MCMM) scenario" or "scenario" "refers to a combination of a particular process corner, a particular operating condition, and/or a particular operating mode." Id. 1:44-47. In a typical circuit design flow, when violations are detected, incremental adjustments, called Engineering Change Orders ("ECO"), are made to correct them. Id. 1:25-30. But adjustments to fix a violation in one scenario may create new violations in other scenarios, requiring that checks be performed multiple times and across multiple scenarios. Id. 1:51-59.

The '655 patent's stated contribution aims to reduce the amount of time it takes to check ECOs across various scenarios. According to the '655 patent, because the information needed to analyze a potential scenario, called the scenario image, is very large, computers can generally store only one scenario image in memory at a time and need to swap out different scenario images to check whether a proposed ECO will cause violations in other scenarios. Id. 4:10-20. It takes a long time to load a scenario image from storage to memory, meaning that swapping out scenario images can be time consuming. Id. 4:37-41. And, because an ECO can cause unanticipated issues in a different scenario, it may become necessary to swap among the same scenario images multiple times to check the effect of different ECOs. Id. 4:21-47. The '655 patent outlines a method to reduce the need to swap out scenario images by using a "multi-scenario ECO database"—containing a subset of information about different scenarios—as well as a single scenario image to estimate how a possible ECO will impact other scenarios, thereby reducing the likelihood that an ECO will create violations in other scenarios and need to be reversed or changed later in the process. Id. 4:58-5:2.

The '655 patent includes three similar independent claims, claim 1 reciting a method, claim 11 a computer-readable storage medium, and claim 21 a system. Id. It also contains 21 dependent claims. Claim 1 appears representative and is the focus of both parties' briefing. It states:

1. A method for fixing design requirement violations in a circuit design in multiple scenarios, the method comprising:

receiving a scenario image, wherein the scenario image stores parameter values for circuit objects in a scenario;

receiving a multi-scenario engineering change order (ECO) database, wherein the multi-scenario ECO database stores a subset of parameter values for a subset of circuit objects in the multiple scenarios; and

determining, by using one or more processors, an ECO to fix one of more design requirement violations, wherein said determining includes estimating parameter values for circuit objects in at least some of the multiple scenarios based on parameter values stored in the scenario image and the multi-scenario ECO database.
Id. 9:53-67.

LEGAL STANDARD

I. MOTION TO DISMISS

Under Federal Rule of Civil Procedure 12(b)(6), a district court must dismiss a complaint if it fails to state a claim upon which relief can be granted. To survive a Rule 12(b)(6) motion to dismiss, the plaintiff must allege "enough facts to state a claim to relief that is plausible on its face." See Bell Atl. Corp. v. Twombly, 550 U.S. 544, 556 (2007). A claim is facially plausible when the plaintiff pleads facts that "allow the court to draw the reasonable inference that the defendant is liable for the misconduct alleged." See Ashcroft v. Iqbal, 556 U.S. 662, 678 (2009) (citation omitted). There must be "more than a sheer possibility that a defendant has acted unlawfully." Id. While courts do not require "heightened fact pleading of specifics," a plaintiff must allege facts sufficient to "raise a right to relief above the speculative level." See Twombly, 550 U.S. at 555, 570.

In deciding whether the plaintiff has stated a claim upon which relief can be granted, a court accepts the plaintiff's allegations as true and draws all reasonable inferences in favor of the plaintiff. See Usher v. City of Los Angeles, 828 F.2d 556, 561 (9th Cir. 1987). However, the court is not required to accept as true "allegations that are merely conclusory, unwarranted deductions of fact, or unreasonable inferences." See In re Gilead Scis. Sec. Litig., 536 F.3d 1049, 1055 (9th Cir. 2008).

To state a claim for patent infringement, "a patentee need only plead facts sufficient to place the alleged infringer on notice. This requirement ensures that the accused infringer has sufficient knowledge of the facts alleged to enable it to answer the complaint and defend itself." Phonometrics, Inc. v. Hospitality Franchise Sys., Inc., 203 F.3d 790, 794 (Fed. Cir. 2000). The Federal Circuit has "repeatedly recognized that in many cases it is possible and proper to determine patent eligibility under 35 U.S.C. § 101 on a Rule 12(b)(6) motion." Genetic Techs. Ltd. v. Merial L.L.C., 818 F.3d 1369, 1373 (Fed. Cir. 2016). In such circumstances where it is possible and proper, "claim construction is not an inviolable prerequisite to a validity determination under § 101." Bancorp Servs., L.L.C. v. Sun Life Assurance Co. of Can., 687 F.3d 1266, 1273 (Fed. Cir. 2012).

II. PATENT ELIGIBILITY UNDER 35 U.S.C. § 101

Under Section 101 of the Patent Act, "Whoever invents or discovers any new and useful process, machine, manufacture, or composition of matter, or any new and useful improvement thereof, may obtain a patent therefor . . . " 35 U.S.C. § 101. The Supreme Court "has long held that this provision contains an important implicit exception: Laws of nature, natural phenomena, and abstract ideas are no patentable." Alice Corp. Pty. v. CLS Bank Int'l, 134 S. Ct. 2347, 2354 (2014). The reason for the exception is clear enough - "such discoveries are manifestations of . . . nature, free to all men and reserved exclusively to none." Mayo Collaborative Servs. v. Prometheus Labs., Inc., 132 S. Ct. 1289, 1293 (2012) (citations and internal quotation marks omitted). The boundaries of the exception, however, are not so clear.

The Alice court highlighted "the concern that drives this exclusionary principle as one of preemption." Alice, 134 S. Ct. at 2354 (noting the delicate balance inherent in promoting progress, the primary object of patent law, and granting a monopoly, the means for accomplishing that goal). In other words, patents that seek to wholly preempt others from using a law of nature or an abstract idea—"the basic tools of scientific and technological work"—are invalid. Id. "Accordingly, in applying the § 101 exception, we must distinguish between patents that claim the building[g] block[s] of human ingenuity and those that integrate the building blocks into something more, thereby transform[ing] them into a patent-eligible invention." Id. (internal citations and quotation marks omitted).

The Alice Court laid out a two-step process for assessing whether claims are patent eligible. Under Alice step one, a court must first "determine whether the claims at issue are directed to one of those patent-ineligible concepts." Alice, 134 S. Ct. at 2355. "[T]he 'directed to' inquiry applies a stage-one filter to claims, considered in light of the specification, based on whether their character as a whole is directed to excluded subject matter." Enfish, LLC v. Microsoft Corp., 822 F.3d 1327, 1335 (Fed. Cir. 2016) (internal quotation marks omitted). Although there is no bright-line rule for determining whether a claim is directed to an abstract idea, courts have articulated some guiding principles. When evaluating computer-related claims, courts may look at whether the claims "improve the functioning of the computer itself," Alice, 134 S. Ct. at 2359, or whether "computers are invoked merely as a tool" to implement an abstract process. Enfish, 822 F.3d at 1336.

If claims are directed to a patent-ineligible concept, under Alice step two courts must "consider the elements of each claim both individually and as an ordered combination to determine whether the additional elements transform the nature of the claim into a patent-eligible application." Id. at 1334 (internal quotation marks and citations omitted). This step entails the "search for an inventive concept—i.e., an element or combination of elements that is sufficient to ensure that the patent in practice amounts to significantly more than a patent upon the [ineligible concept] itself." Alice, 134 S. Ct. at 2355 (internal quotation marks and citations omitted). "For the role of a computer in a computer-implemented invention to be deemed meaningful in the context of this analysis, it must involve more than performance of well-understood, routine [and] conventional activities previously known to the industry." Content Extraction & Transmission LLC v. Wells Fargo Bank, N.A., 776 F.3d 1343, 1347-48 (Fed. Cir. 2014). "[T]he mere recitation of a generic computer cannot transform a patent-ineligible abstract idea into a patent-eligible invention." Id. at 1348. However, "an inventive concept can be found in the non-conventional and non-generic arrangement of known, conventional pieces." BASCOM Glob. Internet Servs., Inc. v. AT&T Mobility LLC, 827 F.3d 1341, 1350 (Fed. Cir. 2016).

DISCUSSION

I. THE '863 PATENT

A. Alice Step One

The first step under Alice is to assess whether the patent is "directed to" a patent ineligible concept. Alice, 573 U.S. at 217. The '863 patent describes a method of creating a block abstraction that involves "processing at least one of [the] blocks" in a hierarchically decomposed circuit wherein said processing includes "retaining only a sub-set" of information about the block, specifically some "physical interconnect information" and a "sub-set of cells" and then "utilizing said abstraction in another development phase." '863 patent 16:65 - 17:19.

The claim does not explain or lay out a specific way or inventive technology for performing these steps. Id. At its core, what the claim language describes is a method of discarding irrelevant information and retaining a sub-set of desired relevant information. Id. This is a typical mental process that humans regularly perform and as such, is not patent eligible. See Mayo Collaborative Servs. v. Prometheus Labs., Inc., 566 U.S. 66, 71 (2012) ("Phenomena of nature, though just discovered, mental processes, and abstract intellectual concepts are not patentable, as they are the basic tools of scientific and technological work."); CyberSource Corp. v. Retail Decisions, Inc., 654 F.3d 1366, 1372-73 (Fed. Cir. 2011) (a claim whose "steps can be performed in the human mind, or by a human using a pen and paper" is directed to an "unpatentable mental process"); see also Two-Way Media Ltd. V. Comcast Cable Commc'ns, LLC, 874 F.3d 1329, 1337 (Fed. Cir. 2017) ("Abstract are mere functions and results unlimited by particular structures or acts for how to perform or achieve them."). The Federal Circuit has routinely found that collecting information "including when limited to particular content (which does not change its character as information), as within the realm of abstract ideas." Electric Power Group, LLC v. Alstom S.A., 830 F.3d 1350 (Fed. Cir. 2016). The method described in the '863 patent of discarding irrelevant information and retaining a sub-set of specific information is just another way that humans regularly sort and analyze information and is equally abstract. The '863 patent is, accordingly, directed to a patent ineligible abstract concept.

Synopsys makes four arguments to why the '863 patent claims are not directed to this abstract idea, none of which is persuasive. First, Synopsys argues that the patent claims are not abstract because they are directed to a specific method "for use in hierarchical integrated circuit design." Dkt. No. 30, Opposition to Motion to Dismiss ("Opp.") at 6. While this does narrow the claim, being narrowed or cabined to a particular industry or process does not make an abstract concept concrete. See Mayo, 566 U.S. at 88 (noting that "our cases have not distinguished among different laws of nature according to whether or not the principles they embody are sufficiently narrow").

Second, Synopsys argues that the claims are not abstract because they do not relate simply to retaining a "sub-set of data" but specifically to retaining a sub-set of "a block's cells and physical interconnect information that affects the logical, physical, and electrical behavior of the parent block." Opp. at 6. Again, while this makes the claims narrower and more specific, it does not make them less abstract. The '863 patent describes a common mental process of discarding irrelevant information and retaining only a sub-set of the original information. '863 patent 16:65 - 17:19. This process is abstract regardless of the type of information at issue or the specific information being discarded and retained. See Electric Power Grp., 830 F.3d at 1350 (noting that collecting information is an abstract concept even "when limited to particular content (which does not change its character as information)").

Third, Synopsys argues that the '863 patent claims are not abstract because they involve an "inventive data structure [that] represents an improvement in hierarchical decomposition in that it addresses a specific drawback identified by the inventors with pre-existing methods." Opp. at 6. Synopsys cites to Enfish, in which the Federal Circuit upheld claims relating to a new "self-referential table[that] functions differently than conventional database structures," noting that the claims reflected an "improvement in computer functionality." 822 F.3d at 1336-1337. But what Synopsys characterizes as an "inventive data structure" here is just data. The '863 patent is clear that hierarchical decomposition and block abstractions are conventionally used in computer chip design. See '863 patent 5:5-20. The '863 patent's innovation is not creating or using block abstractions but using a sub-set of the block's actual data to create an abstraction, rather than modeled information. Id. 2:58-67, 5:5-20. This is just a change in the type of data used to create an abstraction, rather than any kind of inventive "structure." Cf. BSG Tech LLC v. BuySeasons, Inc., 899 F.3d 1281, 1288 (Fed. Cir. 2018) ("[A]n improvement to the information stored by a database is not equivalent to an improvement in the database's functionality."). To the extent this reflects an improvement in the process of chip design, the improvement flows entirely from an improvement in the quality of the data used to create the abstraction, not from any improvement to the functioning of a computer as in Enfish.

The mere fact that an idea or process solves a problem or proves useful in a particular industry does not make it patent eligible. See Sap Am., Inc. v. InvestPic, LLC, 898 F.3d 1161, 1163 (Fed. Cir. 2018) ("We may assume that the techniques claimed are groundbreaking, innovative, or even brilliant, but that is not enough for eligibility.") (internal citation and quotation marks omitted). The Federal Circuit has repeatedly found useful and innovative ideas ineligible for patenting where they are directed to abstract concepts. See e.g. RecogniCorp, LLC v. Nintendo Co., 855 F.3d 1322, 1324, 1327 (Fed. Cir. 2017) (computer-implemented process that required less memory and bandwidth than prior art processes, but did not improve the functioning of a computer, was directed to abstract concept).

Fourth, Synopsys argues that the '863 patent claims cannot be performed as a mental process because they "are tied to processing VLSI circuits." Opp at 6. As discussed above, the fact that the claim is narrowed to a specific industry does not prevent it from being abstract. To the extent Synopsys is arguing that implementation of the '863 claim literally or practically requires the use of a computer, this does not preclude it from being abstract. "[C]laims that literally require the use of a computer, but nevertheless reflect routine automation of activities which 'could all be performed by humans without a computer'" may still be abstract. Papst Licensing GmbH & Co. KG v. Xilinx Inc., 193 F. Supp. 3d 1069, 1091 (N.D. Cal. 2016) (citation omitted), aff'd, 684 F. App'x. 971 (Fed. Cir. 2017); CyberSource Corp., 654 F.3d at 1372, 1376-66 (claim was invalid because its steps could "be performed in the human mind, or by a human using a pen and paper" even though claim required use of a computer.)

The '863 claims are directed to the abstract concept of discarding some irrelevant information and retaining a sub-set of information.

B. Alice Step Two

Under the second step of Alice, I must assess whether the '863 patent recites a saving inventive concept in the application of the idea that adds "significantly more" than the abstract idea itself. Alice, 573 U.S. at 217. Synopsys argues that there is a saving inventive concept because the claims provide "an unconventional technological solution . . . to a technological problem." Opp. at 8. I disagree, and conclude that there is nothing sufficient in the '863 patent to remove the claims from the class of subject matter ineligible for patenting.

Citing Amdocs (Israel) v. Openet Telecom, 841 F.3d 1288 (2016), Synopsys argues that the '863 patent claims an "unconventional technological solution . . . to a technological problem" and therefore includes an inventive concept. But unlike the relevant patent in Amdocs, the '863 patent does not require the use of any specific structure or claim an unconventional use of conventional components.

In Amdocs, the Federal Circuit found an inventive concept in claims concerning a distributed architecture in which the claimed, unconventional physical distribution of generic system components working together in a distributed manner improved computer function by enabling load distribution and reducing congestion in network bottlenecks. 841 F.3d at 1300. The Federal Circuit specifically contrasted the claims at issue in Amdocs from claims it had found ineligible in other cases, noting that in these cases the claims were not "tied to a specific structure of various components" or "merely combine[d] the components in a generic manner." Id. at 1301. Here, although the '863 patent asserts that it offers a better way for creating block abstractions in the process of chip design and this could be characterized as a "technological solution" to a "technological problem," the patent claims are unlike those in Amdocs, because they are not "tied to a specific structure of various components." Claim 35 of the patent recites a "computer-readable medium," but that is simply the identification of a generic component and not an inventive concept. See Alice, 573 U.S. at 223 ("[I]f a patent's recitation of a computer amounts to implement an abstract idea on a computer, that addition cannot impart patent eligibility.") (internal citation and quotations omitted). The '863 patent claims are not analogous to the claims found patent eligible in Amdocs.

Synopsys also argues that the '863 claims are patent eligible because they are "directed to improving the functionality of existing computer systems for computer-aided integrated circuit design," likening the '863 claims to the claim found eligible in Koninklijke KPN N.V. v. Gemalto M2M GmbH, 942 F.3d 1143, 1151 (Fed. Cir. 2019). Opp. at 8-9. I disagree. In Koniklijke, the Federal Circuit found that a claim directed to a new way of generating check data, which improved the functionality of one tool in a larger system, was patent eligible. Id. The court explained that, when analyzing patent claims under Alice, courts should ask whether the claims "focus on a specific means or method that improves the relevant technology," or are "instead directed to a result or effect that itself is the abstract idea." 942 F.3d at 1151. Unlike the patent claims in Koniklijke, the '863 patent does not improve the functionality of an existing computer system. Instead, its primary innovation is the idea of using a sub-set of block data to create a block abstraction, rather than estimated or modeled data, because the sub-set of actual data is more accurate. This is an improvement to the quality and accuracy of the data used as part of the chip design process - not an improvement to any system. Nor does the '863 patent outline or "focus on a specific means or method that improves the relevant technology." The patent does not claim a specific means or method for creating or achieving this more accurate block abstraction beyond discarding irrelevant data and retaining the relevant sub-set of data. The '863 patent claims are not analogous to those found eligible in Koniklijke.

I conclude that the '863 patent is directed to a patent ineligible abstract concept and does not contain a saving inventive concept. Accordingly, the patent is invalid under § 101.

Synopsys argues that Avatar's motion is premature because there are outstanding fact issues regarding whether the patents actually represent improvements over prior art, as claimed in each of the patents. See e.g. Opp. at 9. For the purposes of this motion to dismiss, I construe the facts in favor of Synopsys and assume that all assertions and claimed innovations identified in Synopsys's patents are true. It is therefore not necessary to resolve these fact issues before ruling on Avatar's motion to dismiss.

II. THE '640 PATENT

A. Alice Step One

The '640 patent claims "[a] computer-implemented method of statistical static timing analysis" that involves "receiving, by a computer, information describing a circuit," "performing statistical static timing analysis (SSTA)," and "storing" some resulting information. '640 patent 11:23-12:22. Avatar argues that the '640 patent is directed to a patent ineligible mathematical algorithm and/or improved method of calculation, namely a "statistical analysis of information describing a circuit." Dkt. No. 26, Motion to Dismiss ("Motion") at 22. I agree. Considering the patent as a whole and in light of the specification, the focus of the '640 patent is a specific "method of statistical timing analysis," which the patent claims is an improvement upon existing models. '640 patent 2:8-9, 11:1-2. Mathematical algorithms and methods of calculation are abstract concepts and are not patent eligible. See Parker v. Flook, 437 U.S. 584, 595 n.18 ("a claim for an improved method of calculation, even when tied to a specific end use is unpatentable subject matter under § 101"); SAP America, Inc. v. InvestPic, LLC, 898 F.3d 1161, 1167 (Fed. Cir. 2018) (claims were focused on abstract ideas where they involved "selecting certain information, analyzing it using mathematical techniques, and reporting or displaying the results of the analysis"). The '640 patent is accordingly directed to a patent-ineligible abstract idea.

Synopsys makes three arguments why the '640 patent is not directed at an abstract idea, but none is persuasive. First, Synopsys argues that the '640 patent is not directed at an abstract concept because it describes "an improved method of modeling the effects of on-chip variation as part of static timing analysis" and "improved the technology of VLSI circuit design." Opp. at 19. But as the Supreme Court made clear in Flook, "a claim for an improved method of calculation, even when tied to a specific end use, is unpatentable subject matter under § 101." 437 U.S. at 595 n.18.

Second, Synopsys argues that the '640 patent must be considered as a whole and cannot be overgeneralized or presumed to be directed to an abstract concept simply because it contains mathematical steps. Opp. at 19. While this is a correct framing of the law, Synopsys does not point to any other focus of the '640 patent that is not statistical analysis or mathematical calculations. The cases that Synopsys cites all involved something more than "just math." See Huawei Techs., Co, Ltd. v. Samsung Elecs. Co, Ltd., No. 3:16-CV-02787-WHO, 2016 WL 6834614, at *10 (N.D. Cal. Nov. 21, 2016) (Orrick, J.) (claims that applied mathematics to particular machines to improve their operation were not directed to an abstract concept because they "involved technological improvements and physical devices"); Thales Visionix Inc. v. U.S., 850 F.3d 1343, 1348 (Fed. Cir. 2017) (claims that employed a mathematical equation and recited a unique configuration of inertial sensors were patent eligible). Here, in contrast, the focus of the '640 is solely on a specific method for performing a statistical static timing analysis, which is math.

Third, Synopsys argues that Avatar misleadingly suggests that the '640 patent claims all "statistical analysis of information describing integrated circuits" when it in fact claims a narrow and specific method of performing graph-based static timing analysis. Opp. at 20. Although I agree that the '640 patent does not attempt to claim all "statistical analysis of information describing integrated circuits," a narrow and specific method of performing statistical analysis on integrated circuits is still abstract. See Flook, 437 U.S. at 595 n.18.

The '640 patent is directed to a specific method of conducting a statistical analysis which is a patent-ineligible abstract concept.

B. Alice Step Two

The '640 patent does not have a saving inventive concept under Alice step two. Synopsys argues that the '640 patent includes an inventive concept because the particular statistical static timing analysis it claims provides "an unconventional solution to a technological problem," namely, "ensuring, in a computationally efficient manner, that a certain percentage of chips manufactured meet timing requirements despite physical variations in the chips." Opp. at 20. But this is not an "inventive concept" specific to the '640 patent—all methods of performing static timing analysis are used to help ensure that a certain percentage of chips meet timing requirements. See Opp. at 16.

Synopsys also argues that the '640 patent includes an inventive concept in the "non-conventional and non-generic arrangement of known, conventional pieces" because, although it contains a series of common mathematical operations, "when viewed as an ordered combination, they result in a non-conventional and non-generic statistical maximum operator that preserves the n-sigma corners of convergent circuit timing paths." Opp. at 20. But describing a novel series of mathematical operations and calculations does not transform abstract mathematics into patent-eligible material. See Flook, 437 U.S. 584, 595 n.18. Further, as the Federal Circuit made clear in BASCOM, "[a]n inventive concept that transforms the abstract idea into a patent-eligible invention must be significantly more than the abstract idea itself." 827 F.3d at 1350. The unique arrangement of mathematical operations that Synopsys describes is not "significantly more" than the abstract statistical analysis method described by the '640 patent - it is the method.

The '640 patent is directed at an abstract concept and does not contain a saving inventive concept. Accordingly, I conclude that the '640 patent is invalid under § 101.

III. THE '655 PATENT

A. Alice Step One

The '655 patent claims a method for "fixing design requirement violations in a circuit design in multiple scenarios" by "receiving a scenario image," "receiving a multi-scenario engineering change order (ECO) database," and "determining . . an ECO to fix one of more design requirement violations" by "estimating parameter values . . . based on parameter values stored in the scenario image and the multi-scenario ECO database." '655 patent 9:53-67. Avatar argues that the '655 patent is directed to the abstract idea of "receiving partial information and then estimating missing values from other values that are present," and is therefore patent ineligible. MTD at 16.

When considered as a whole, and in light of the specification, the '655 claims are directed to a specific method for checking ECOs across multiple scenarios during the process of fixing design requirement violations. The '655 patent identifies a problem in the prior art process of fixing design requirement violations: ECOs need to be checked against multiple scenarios to ensure they don't create new violations, but a computer can only hold one scenario image in memory at a time. '655 patent 4:10-20. This means that to run these checks, conventionally, scenario images had to be swapped in and out of a computer's memory, which is a time-consuming process. Id. 4:37-41. To address this issue, the '655 patent proposes a new method of checking ECOs across multiple scenarios by using a scenario image and an ECO database - which is smaller than a scenario image and therefore able to be stored in a computer's memory alongside a scenario image - to estimate how an ECO will interact with other scenarios. Id. 4:58-5:2. This method improves upon the prior art by allowing the system to estimate how an ECO will impact other scenarios without the need for swapping out scenario images, thereby improving the likelihood that an ECO will work across multiple scenarios and reducing the need to backtrack or redo ECOs further along in the process. Id.

The Federal Circuit has found claims patent-eligible when they are directed to particular methods that improve the use and efficiency of computers. In Data Engine Technologies LLC v. Google LLC, 906 F.3d 999, for example, the Federal Circuit found a claim that provided a "specific" and "particular" method "for rapidly accessing and processing information" in three dimensional spreadsheets was patent eligible because it "improve[d] the efficient functioning of computers." Id. at 1009. Similarly, in Core Wireless Licensing S.A.R.L. v. LG Elecs., Inc., 880 F.3d 1356, 1363 (Fed. Cir. 2018), the Federal Circuit held that claims directed to an improved display interface that "increased the efficiency with which users could navigate through various views and windows" were not directed to an abstract concept. Like the claims in these cases, the '655 patent claims a method that improves the "efficient functioning of computers" by identifying a way to check ECOs across multiple scenarios at a time - despite computer memory limitations - and reducing the need to engage in the time-consuming process of loading different scenario images from the computer's storage to memory. This is not an abstract concept and is patent eligible under Alice.

B. Alice Step Two

Even if the '655 patent is directed at a patent ineligible abstract concept, it contains a saving inventive concept in the unconventional use of an ECO database and scenario image to estimate how an ECO will work in other scenarios, thereby reducing the need to swap out scenario images during the process of fixing design violations across multiple scenarios in computer chip design. A claim may have a "sufficient inventive concept" under Alice step two if the claim "solve[s] a technology-based problem, even with conventional, generic components, combined in an unconventional manner." Amdocs, 841 F.3d at 1300; see also DDR Holdings, LLC v. Hotels.com L.P., 773 F.3d 1245, 1257-59 (Fed. Cir. 2014). The '655 patent outlines a novel method for conducting ECO checks across multiple scenarios by using an ECO database and a scenario image to estimate how ECOs will impact other scenarios. This method reduces the need to engage in the time-consuming process of swapping out scenario images from computer storage to memory and therefore provides a more efficient system for fixing design violations across multiple scenarios. As in Amdocs, this is a sufficient inventive concept under Alice step two.

For the purposes of this motion to dismiss, I conclude that the '655 patent is not directed to a patent ineligible abstract concept. Further, I conclude that even if the '655 patent is directed to an abstract concept, it contains a sufficient saving inventive concept.

CONCLUSION

For the reasons discussed above, I find that the '863 and '640 patents are invalid under § 101. Accordingly, Avatar's motion to dismiss is GRANTED as to Claims I and II. Because I find that the '655 is not invalid under § 101, Avatar's motion to dismiss is DENIED as to Claim VI.

IT IS SO ORDERED. Dated: November 12, 2020

/s/_________

William H. Orrick

United States District Judge


Summaries of

Synopsys, Inc. v. Avatar Integrated Sys.

UNITED STATES DISTRICT COURT NORTHERN DISTRICT OF CALIFORNIA
Nov 12, 2020
Case No. 20-cv-04151-WHO (N.D. Cal. Nov. 12, 2020)
Case details for

Synopsys, Inc. v. Avatar Integrated Sys.

Case Details

Full title:SYNOPSYS, INC., Plaintiff, v. AVATAR INTEGRATED SYSTEMS, INC., Defendant.

Court:UNITED STATES DISTRICT COURT NORTHERN DISTRICT OF CALIFORNIA

Date published: Nov 12, 2020

Citations

Case No. 20-cv-04151-WHO (N.D. Cal. Nov. 12, 2020)